
EDA Tools
STDIOMCP server integrating EDA tools for Verilog synthesis, simulation, and ASIC design.
MCP server integrating EDA tools for Verilog synthesis, simulation, and ASIC design.
A comprehensive Model Context Protocol (MCP) server that provides Electronic Design Automation (EDA) tools integration for AI assistants like Claude Desktop and Cursor IDE. This server enables AI to perform Verilog synthesis, simulation, ASIC design flows, and waveform analysis through a unified interface.
https://github.com/user-attachments/assets/65d8027e-7366-49b5-8f11-0430c1d1d3d6
EDA MCP Server demonstration showing Verilog synthesis, simulation, and ASIC design flow
Before using this MCP server, you need to install the following EDA tools:
macOS (Homebrew):
brew install yosys
Ubuntu/Debian:
sudo apt-get update sudo apt-get install yosys
From Source:
# Install prerequisites sudo apt-get install build-essential clang bison flex \ libreadline-dev gawk tcl-dev libffi-dev git \ graphviz xdot pkg-config python3 libboost-system-dev \ libboost-python-dev libboost-filesystem-dev zlib1g-dev # Clone and build git clone https://github.com/YosysHQ/yosys.git cd yosys make -j$(nproc) sudo make install
Alternative - OSS CAD Suite (Recommended): Download the complete toolchain from: https://github.com/YosysHQ/oss-cad-suite-build/releases
macOS (Homebrew):
brew install icarus-verilog
Ubuntu/Debian:
sudo apt-get install iverilog
Windows: Download installer from: https://bleyer.org/icarus/
Direct Downloads (Recommended):
brew install --cask gtkwave
sudo apt-get install gtkwave
Alternative Installation Methods:
# macOS (Homebrew) brew install --cask gtkwave # Ubuntu/Debian sudo apt-get install gtkwave # Build from source (all platforms) git clone https://github.com/gtkwave/gtkwave.git cd gtkwave meson setup build && cd build && meson install
Direct Downloads:
brew install --cask docker
Installation:
docker run hello-world
Note: Docker Desktop includes Docker Engine, Docker CLI, and Docker Compose in one package.
Simple Installation Method (Recommended):
# Install OpenLane via pip pip install openlane # Pull the Docker image docker pull efabless/openlane:latest # Verify installation docker run hello-world
Usage Example:
# Create project directory mkdir -p ~/openlane-projects/my-design cd ~/openlane-projects/my-design # Create Verilog file (counter example) cat > counter.v << 'EOF' module counter ( input wire clk, input wire rst, output reg [7:0] count ); always @(posedge clk or posedge rst) begin if (rst) count <= 8'b0; else count <= count + 1; end endmodule EOF # Create configuration file cat > config.json << 'EOF' { "DESIGN_NAME": "counter", "VERILOG_FILES": ["counter.v"], "CLOCK_PORT": "clk", "CLOCK_PERIOD": 10.0 } EOF # Run the RTL-to-GDSII flow python3 -m openlane --dockerized config.json
Key Benefits:
--dockerized
flag handles all tool dependencies automatically via DockerDirect Downloads (Recommended):
brew install --cask klayout
sudo apt install klayout
Alternative Installation:
# macOS (Homebrew) brew install --cask klayout # Ubuntu/Debian sudo apt install klayout
git clone https://github.com/NellyW8/mcp-EDA cd mcp-EDA npm install npm run build npx tsc
mcp-EDA/
├── src/
│ └── index.ts # Main server code
├── build/
│ └── index.js # Compiled JavaScript
├── package.json
├── tsconfig.json
└── README.md
This method uses Docker Desktop's built-in MCP extension for the easiest setup experience.
Install Docker Desktop Extension:
Configure Docker MCP Connection:
This automatically configures Claude Desktop and Cursor IDE with:
{ "mcpServers": { "MCP_DOCKER": { "command": "docker", "args": [ "run", "-i", "--rm", "alpine/socat", "STDIO", "TCP:host.docker.internal:8811" ] } } }
Add Your EDA MCP Server:
~/Library/Application Support/Claude/claude_desktop_config.json
%APPDATA%\Claude\claude_desktop_config.json
{ "mcpServers": { "MCP_DOCKER": { "command": "docker", "args": [ "run", "-i", "--rm", "alpine/socat", "STDIO", "TCP:host.docker.internal:8811" ] }, "eda-mcp": { "command": "node", "args": [ "/absolute/path/to/your/eda-mcp-server/build/index.js" ], "env": { "PATH": "/usr/local/bin:/opt/homebrew/bin:/usr/bin:/bin", "HOME": "/your/home/directory" } } } }
Restart Claude Desktop and verify both servers are running in Settings > Developer.
Open Cursor Settings:
Ctrl + Shift + P
(Windows/Linux) or Cmd + Shift + P
(macOS)Add MCP Server: Click "Add new MCP server" and configure:
{ "mcpServers": { "MCP_DOCKER": { "command": "docker", "args": [ "run", "-i", "--rm", "alpine/socat", "STDIO", "TCP:host.docker.internal:8811" ] }, "eda-mcp": { "command": "node", "args": [ "/absolute/path/to/your/eda-mcp-server/build/index.js" ], "env": { "PATH": "/usr/local/bin:/opt/homebrew/bin:/usr/bin:/bin", "HOME": "/your/home/directory" } } } }
Enable MCP Tools:
Ask Claude: "Can you synthesize this counter module for an ice40 FPGA?"
module counter(
input clk,
input rst,
output [7:0] count
);
reg [7:0] count_reg;
assign count = count_reg;
always @(posedge clk or posedge rst) begin
if (rst)
count_reg <= 8'b0;
else
count_reg <= count_reg + 1;
end
endmodule
Ask Claude: "Please simulate this adder with a testbench"
// Design
module adder(
input [3:0] a,
input [3:0] b,
output [4:0] sum
);
assign sum = a + b;
endmodule
// Testbench will be generated automatically or you can provide one
Ask Claude: "Run the complete ASIC flow for this design with a 10ns clock period"
module simple_cpu(
input clk,
input rst,
input [7:0] data_in,
output [7:0] data_out
);
// Your RTL design here
endmodule
What you get after completion:
runs/RUN_*/final/gds/design.gds
- Final GDSII layoutruns/RUN_*/openlane.log
- Complete execution logruns/RUN_*/reports/
- Timing, area, power analysis reportsAsk Claude: "View the waveforms from the simulation with project ID: abc123"
MCP Server Not Detected:
Docker Permission Errors:
sudo groupadd docker sudo usermod -aG docker $USER sudo reboot
Tool Not Found Errors:
yosys --version
, iverilog -V
, gtkwave --version
/opt/homebrew/bin
OpenLane Timeout:
GTKWave/KLayout GUI Issues:
Check MCP Server Logs:
~/Library/Logs/Claude/mcp*.log
(macOS)Test Tools Manually:
yosys -help iverilog -help docker run hello-world gtkwave --version klayout -v
Verify Node.js Environment:
node --version npm --version
For issues and questions:
Note: This MCP server requires local installation of EDA tools. The server acts as a bridge between AI assistants and your local EDA toolchain, enabling sophisticated hardware design workflows through natural language interaction.